国产毛片a精品毛-国产毛片黄片-国产毛片久久国产-国产毛片久久精品-青娱乐极品在线-青娱乐精品

【從零開始走進FPGA】非同于MCU的獨立按鍵消抖動

發布時間:2016-2-19 09:06    發布者:designapp
關鍵詞: FPGA , MCU , 按鍵消抖

簡單的說,進入了電子,不管是學純模擬,還是學單片機DSP、ARM等處理器,或者是我們的FPGA,一般沒有不用到按鍵的地方。按鍵:人機交互控制,主要用于對系統的控制,信號的釋放等。因此在這里,FPGA上應用的按鍵消抖動,也不得不講!
一、為什么要消抖動



如上圖所示,在按鍵被按下的短暫一瞬間,由于硬件上的抖動,往往會產生幾毫秒的抖動,在這時候若采集信號,勢必導致誤操作,甚至系統崩潰;同樣,在釋放按鍵的那一刻,硬件上會相應的產生抖動,會產生同樣的后果。因此,在模擬或者數字電路中,我們要避免在最不穩定的時候采集信號,進行操作。
對此一般產用消抖動的原理。一般可分為以下幾種:
(1)延時
(2)N次低電平計數
(3)低通濾波
在數字電路中,一般產用(1)(2)種方法。后文中將詳細介紹。
二、各種消抖動

1. 模擬電路按鍵消抖動
對于模擬電路中,一般消抖動用的是電容消抖動或者施密特觸發等電路,再次不做具體介紹。施密特觸發電路如下所示,具體可參考百度文庫:http://wenku.baidu.com/view/c77025d9ce2f0066f5332276.html




2. 單片機中按鍵消抖動
對于單片機中的按鍵消抖動,本節Bingo根據自己當年寫過的單片機其中的一個代碼來講解,代碼如下所示:
unsigned char key_scan(void)
{
if(key == 0) //檢測到被按下
{
delay(5); //延時5ms,消抖
if(key != 0)
retrurn 0; //是抖動,返回退出
while(!key1); // 確認被按下,等下釋放
delay(5); //延時5ms,消抖
while(!key1); //確認被釋放
return 1; //返回按下信號
}
return 0; //沒信號
}
針對以上代碼,消抖動的順序如下所示:
(1)檢測到信號
(2)延時5ms,消抖動
(3)繼續檢測信號,確認是否被按下
a) 是,則開始等待釋放
b) 否,則返回0,退出
(4)延時5ms,消抖動
(5)確認,返回按下信號,退出
當然在單片機中也可以循環計數來確認是否被按下。Bingo認為如此,太耗MCU資源,因此再次不做講述。
                                
               
3. FPGA中的按鍵消抖動

對于FPGA中的消抖動,很多教科書上都沒有講述。但Bingo覺得這個很有必要。對于信號穩定性以及準確性分析,按鍵信號必須有一個穩定的脈沖,不然對系統穩定性有很大的干擾。
此處Bingo用兩種方法對FPGA中按鍵消抖動分析。其中第一種是通過狀態機的使用直接移植以上MCU的代碼,這個思想在FPGA狀態機中很重要。第二種,通過循環n次計數的方法來確認是否真的被按下,這種方法很實用在FPGA這種高速并行器件中。
(1)利用狀態機移植MCU按鍵消抖動
此模塊由Bingo無數次修改測試最后成型的代碼,在功能上可適配n個按鍵,在思想上利用單片機采用了單片機消抖動的思想。具體代碼實現過程請有需要的自行分析,本模塊移植方便,Verilog代碼如下所示:
/*************************************************
* Module Name : key_scan_jitter.v
* Engineer : Crazy Bingo
* Target Device : EP2C8Q208C8
* Tool versions : Quartus II 11.0
* Create Date : 2011-6-26
* Revision : v1.0
* Description :
**************************************************/
module key_scan_jitter
#(
parameter KEY_WIDTH = 2
)
(
input clk,
input rst_n,
input [KEY_WIDTH-1:0] key_data,
output key_flag,
output reg [KEY_WIDTH-1:0] key_value
);
reg [19:0] cnt; //delay_5ms(249999)
reg [2:0] state;
//-----------------------------------
always @(posedge clk or negedge rst_n)
begin
if(!rst_n)
cnt MCU按鍵消抖動的狀態,此模塊可以模擬成一下5個狀態,見state machine:

                                
               
(2)循環n次計數消抖動
同樣,此模塊也是Bingo無數次修改測試最后成型的代碼,利用了更少的資源,更適用于并行高速FPGA的性能要求。具體代碼實現過程請有需要的自行分析,本模塊通過相關時鐘的適配,n次計數來確認按鍵信號,Verilog代碼如下所示:
/*************************************************
* Module Name : key_scan.v
* Engineer : Crazy Bingo
* Target Device : EP2C8Q208C8
* Tool versions : Quartus II 11.0
* Create Date : 2011-6-25
* Revision : v1.0
* Description :
**************************************************/
module key_scan
#(
parameter KEY_WIDTH = 2
)
(
input clk, //50MHz
input rst_n,
input [KEY_WIDTH-1:0] key_data,
output key_flag,
output reg [KEY_WIDTH-1:0] key_value
);
//---------------------------------
//escape the jitters
reg [19:0] key_cnt; //scan counter
reg [KEY_WIDTH-1:0] key_data_r;
always @(posedge clk or negedge rst_n)
begin
if(!rst_n)
begin
key_data_r <= {KEY_WIDTH{1'b1}};
key_cnt <= 0;
end
else
begin
key_data_r <= key_data; //lock the key value
if((key_data == key_data_r) && (key_data != {KEY_WIDTH{1'b1}})) //20ms escape jitter
begin
if(key_cnt < 20'hfffff)
key_cnt <= key_cnt + 1'b1;
end
else key_cnt <= 0;
end
end
wire cnt_flag = (key_cnt == 20'hffffe) ? 1'b1 : 1'b0;//!!
//-----------------------------------
//sure the key is pressed
reg key_flag_r;
always@(posedge clk or negedge rst_n)
begin
if(!rst_n)
begin
key_flag_r <= 0;
key_value <= 0;
end
else if(cnt_flag)
begin
key_flag_r <= 1;
key_value <= key_data; //locked the data
end
else //let go your hand
key_flag_r <= 0; //lock the key_value
end
//---------------------------------------
//Capture the rising endge of the key_flag
reg key_flag_r0,key_flag_r1;
always@(posedge clk or negedge rst_n)
begin
if(!rst_n)
begin
key_flag_r0 <= 0;
key_flag_r1 <= 0;
end
else
begin
key_flag_r0 <= key_flag_r;
key_flag_r1 <= key_flag_r0;
end
end
assign key_flag = ~key_flag_r1 & key_flag_r0;
endmodule
                                
               
本文地址:http://www.qingdxww.cn/thread-160932-1-1.html     【打印本頁】

本站部分文章為轉載或網友發布,目的在于傳遞和分享信息,并不代表本網贊同其觀點和對其真實性負責;文章版權歸原作者及原出處所有,如涉及作品內容、版權和其它問題,我們將根據著作權人的要求,第一時間更正或刪除。
您需要登錄后才可以發表評論 登錄 | 立即注冊

廠商推薦

  • Microchip視頻專區
  • Dev Tool Bits——使用MPLAB® Discover瀏覽資源
  • Dev Tool Bits——使用條件軟件斷點宏來節省時間和空間
  • Dev Tool Bits——使用DVRT協議查看項目中的數據
  • Dev Tool Bits——使用MPLAB® Data Visualizer進行功率監視
  • 貿澤電子(Mouser)專區

相關視頻

關于我們  -  服務條款  -  使用指南  -  站點地圖  -  友情鏈接  -  聯系我們
電子工程網 © 版權所有   京ICP備16069177號 | 京公網安備11010502021702
快速回復 返回頂部 返回列表
主站蜘蛛池模板: 婷婷视频网| 亚洲 欧美 日韩在线一区 | 久久精品视频网 | 啊用力啊好深啊h在线观看 啊嗯啊羞羞网站在线观看 啊~用力cao我cao死我公 | 久久精品亚瑟全部免费观看 | 亚洲国产日韩欧美一区二区三区 | 日本久久久久亚洲中字幕 | 131美女一区二区三区视频 | 色网站免费在线观看 | 国产精品黄大片在线播放 | 国产真实乱对白在线观看 | 亚洲高清在线观看视频 | 国产一区二区三区在线免费 | 亚洲精品视频在线免费 | 亚洲 欧洲 自拍 另类 校园 | 亚洲一区二区三区免费观看 | 操女穴| 天天色综合色 | 五月天国产精品 | 亚洲人成一区二区不卡 | 韩国a级毛片| 91免费视频播放 | 一级毛片一级毛片免费毛片 | 国产精品国产三级国产在线观看 | 美女三级网站 | 91网站网站网站在线 | 日韩精品一区二区三区在线观看l | 国产成人亚洲精品播放器下载 | 欧美在线网站 | 久久香蕉久久 | 人人干天天干 | 香蕉视频成人在线观看 | 成人欧美一区二区三区黑人免费 | 四虎影视在线影院www | 亚洲欧美经典 | 四虎在线精品观看免费 | 久久久久久久久久久久久久久 | 成人国产亚洲欧美成人综合网 | 羞羞人成午夜爽爽影院 | 免费精品国偷自产在线读大二 | 久久婷婷五色综合夜啪 |